半导体行业观察

其他

PCIe 7.0,AI互联新武器

大型语言模型正在迅猛发展,其参数数量每隔几个月就会翻倍,复杂度以指数级速度在增长。ChatGPT-4拥有超过一万亿个参数,相当于2万亿字节,或20万张高分辨率照片,甚至50万份文档。要快速处理和分析如此海量的数据,必须依赖高效、可靠的数据传输通道。如果数据传输出现瓶颈,无论多复杂的大型语言模型算法、多强大的加速器/处理器都无济于事。大语言模型的参数数量每4到6个月翻一番,比摩尔定律快4倍,需要更大的容量、更多的资源和更快的互连。(来源:新思科技)显然,现有的数据中心基础设施已难以满足这一需求,数据传输延迟的瓶颈成为了制约
8月30日 上午 9:05
其他

新兴存储,冰火两重天

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~回顾存储行业的发展历程能看到,其技术演进路线主要取决于应用场景的变化。从上世纪70年代起,DRAM进入商用市场,并以其极高的读写速度成为存储领域最大分支市场;功能手机出现后,迎来NOR
8月26日 上午 9:08
其他

日本芯片设备公司,挣大发了

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~半导体行业是观察世界经济的样本。但显然,整个半导体市场的悲喜并不相通,不同企业间处境迥异:一边是裁员1.5万人,暂停派息,股价创1982年以来最大跌幅的Intel、是最新季度营收不及预期的光刻机巨头ASML;另一边,则是在AI红利下不断飞涨的英伟达和AMD、是上调全年资本开支,加大扩产的台积电、是存储市场回暖,利润暴涨的存储原厂三星电子与SK海力士...在当前喜忧参半的半导体市场,还有一类企业“混”的风生水起。“业界有观点指出,设备是半导体产业中业绩确定性最强的细分领域”,从日本设备厂商的业绩和预期来看,上述定论似乎没有改变。2024年上半年,在整体芯片行业缓慢回暖形势下,日本半导体制造设备协会(SEAJ)5月27日公布统计数据指出,2024年4月日本制造的半导体设备销售额为3891.06亿日元,较去年同月大增15.7%,连续第4个月呈现增长,创17个月来最大增幅。最新截止今年6月份统计数据指出,日本半导体设备月销售额已连续第8个月突破3000亿日元。在当前行业背景和发展趋势下,日本半导体设备公司们赚得盆满钵满。近日MoneyDJ报道也指出,在AI需求加持下,日本10大半导体设备厂营收暴增8成。通过本文,我们一起来看一下日本这些设备厂商的发展现状,取得快速增长的原因,以及对于未来市场的预期和展望。日本芯片设备公司,盆满钵满DISCO:二季度销售额同比大涨53.4%7月18日,晶圆切割机大厂DISCO公布了2024年第一财季(2024年4-6月)财报,当季合并营收较去年同期大涨53.4%至828亿日元,为史上单季营收首度突破800亿日元大关,创下历史新高纪录,合并营业利润暴增96.7%至334亿日元,合并净利润暴增87.0%至237亿日元,创历年同期历史新高纪录。图源:DISCO财报DISCO指出,业绩大涨主要是由于功率半导体和生成式AI相关需求扩大,以及及中国本地OSAT需求增长所致,带动使用于半导体量产的切割机(Dicer)、研磨机(Grinder)等精密加工装置出货增长。中国区仍为DISCO最大营收来源,美国出口管制政策潜在影响尚待观察:DISCO本次Q1业绩显示中国大陆的OSAT、存储器和CMOS图像传感器需求强劲。中国大陆地区的收入占比近5个季度均保持在30%+,为DISCO最大的营收来源。针对近期美国正考虑加大对中国半导体设备的出口管制力度的媒体报道。DISCO表示当前这些限制主要集中在半导体的前端制造领域,由于目前还没有详细信息,现阶段无法确定该强化限制对公司业务造成的直接影响。限制措施的适用范围是否会扩展到后端制造领域有待观察。生成式AI和功率市场需求稳健:生成式AI的需求稳健,在Q1单季出货额为史上首度突破1000亿日元大关的基础上,预计将推动DISCO
8月14日 上午 8:50
其他

汽车芯片,好难!

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~2024年,汽车芯片行业正经历一场严峻的考验。受全球经济下行和去库存的影响,芯片需求放缓,企业利润承压。近期,汽车芯片行业频频传出负面消息,我们在《芯片行业,好了吗?》一文中已经报道了一些汽车大厂的现状。但目前看来,汽车芯片行业的寒冬远未结束,业绩下滑、裁员、连续下调营收预期和削减支出等问题仍在持续。尽管如此,短期波动掩盖不了汽车芯片行业长期向好的趋势。逆势之下,行业内有企业通过并购、扩产等积极举措应对挑战,展现出强烈的韧性。同时,越来越多的企业看好汽车芯片市场的潜力,积极参与竞争。汽车芯片行业,呈现出百态的发展模样。裁员成为常态寒冬来袭,汽车芯片巨头纷纷亮起红灯。为了应对严峻的市场形势,裁员已成为无奈之举,也是转型的必由之路。作为全球最大的汽车半导体供应商,汽车行业的需求下降英飞凌也是高处不胜寒。英飞凌最近正在全球裁员1400人,这一决定是在第三季度收入未达预期后做出的,该公司连续第三次下调全年预期。英飞凌CEO
8月10日 上午 10:22
其他

被低估的AI芯片强国

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~近年AI的繁荣,让拥有包括HBM在内的韩国存储公司受益匪浅,尤其是领先的龙头SK海力士,更是挣到盘满钵满。韩国也因此成为了AI市场的赢家。但其实除了存储以外,韩国在AI芯片市场,还有很多布局。某种程度上说,我们低估了这个东亚国家的AI实力。韩国总统尹锡烈在今年4月表示,到2027年,韩国将在人工智能领域投资9.4万亿韩元(69.4
8月4日 上午 10:00
其他

美国也要“抢”先进封装

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~毋庸置疑,在芯片设计、上游EDA、IP和设备领域,美国一骑绝尘,靠着芯片霸主地位,向全世界挥舞着镰刀。但近几十年来,美国在半导体制造业的地位持续下降。1990年,美国控制着全球37%的半导体制造业务。而如今,这一份额已降至不足10%。在供应链问题日益突出和重要的趋势下,芯片制造和产能成为业界趋之若鹜的新标的。其中,通过引入《芯片和科学法案》,美国表达了将半导体晶圆制造设施引入国内的愿望和野心。随着台积电、Intel、三星等晶圆大厂纷纷宣布在美建厂,美国商务部提出芯片产业新目标:到2030年以前,产自美国的先进芯片占全球市场份额的20%。另一边,近年来随着摩尔定律的放缓,导致芯片的性能增长边际成本急剧上升。同时,AI、高性能计算芯片等需求日益提升,先进封装成为行业追逐的另一个新风口。先进封装解决了关键技术难题和芯片制造的经济效益问题(图源:Yole)半导体行业的领军者们纷纷在先进封装领域投入巨资,为多芯片封装技术的发展奠定基础。据Yole
8月2日 上午 9:38
其他

国内半导体设备需求不断攀升,这一环节不可忽视!

引言AI浪潮正驱动全球半导体设备市场高速增长。SEMI的数据显示,2024年全球半导体设备总销售额预计将达到创纪录的1090亿美元,同比增长3.4%。与此同时,中国作为全球最大的半导体设备消费市场,正在加速采购半导体设备。据日经亚洲的报道,今年2月至4月,中国市场占应用材料公司销售额的43%,同比增长22%;Lam
7月30日 上午 9:08
其他

以色列的芯片新革命

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~了解以色列的读者应该知道,以色列只是一个面积仅为重庆三分之一,人口不到1000万的“弹丸小国”。以色列没石油、没淡水,资源贫瘠到除了沙子一无所有,并且常年来战争不断。然而,就是在这样一个条件恶劣的小国,却拥有约200家芯片公司,以及全世界排名第一的人均工程师数量和单位面积内最多的高新初创企业。仔细观察以色列的产业结构能发现,高科技产业是其经济支柱,而占据高科技产品出口16%的半导体产业,更是瞩目的明珠,使以色列享有“芯片王国”之称。以色列经济活动和劳动力市场指标(图源:OECD)以色列芯片公司,被半导体巨头争抢收购笔者在此前文章《以色列,为何能成为“芯片王国”》中写到,以色列的半导体产业至今已有50多年的发展历史,是全世界拥有最完整半导体产业生态链的国家之一。其中,以色列本土诞生了世界前十大晶圆厂之一的Tower
7月29日 上午 9:37
其他

芯片巨头,新豪赌

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~在生成式AI热潮的带动下,包括台积电、英特尔、三星、SK海力士、美光、日月光等各行业芯片大厂均积极备战,扩大资本支出。这不仅是时间与技术的赛跑,更是一场财力与实力的角逐。晶圆代工迈入2.0时代,代工厂的比拼更激烈2021年,英特尔提出了IDM2.0的战略,其战略核心为英特尔晶圆代工服务(IFS),围绕这一战略,英特尔大象转身,进行了一系列的重大投资,包括建设新的晶圆厂和升级现有设施。而台积电在2024年第二季度收益电话会议上,也提出了“晶圆代工2.0”概念。按照台积电董事长兼首席执行官魏哲家的意思,他们扩展了晶圆代工行业的原始定义,进入“晶圆代工2.0”时代,晶圆代工将不仅包括传统意义上的代工,也包括封装、测试、掩模制作等,以及所有除存储器制造之外的IDM。魏哲家还特意强调了,台积电将专注于最先进的后端技术,也就是先进封装,帮助客户实现领先产品。无论是“IDM2.0”还是“晶圆代工2.0”,两者都有异曲同工的意思,都体现了晶圆代工行业向更多领域延伸的趋势。同时这也就意味着需要投入更大的资本支出。据TrendForce报道,英特尔计划2024年增加资本支出2%,达262亿美元。在2024年第二季度收益电话会议上,台积电宣布,2024年资本支出预计为300亿至320亿美元,高于此前预期的280亿至320亿美元的支出下限。其中,70%至80%的资本预算将用于先进工艺技术,约10%至20%将用于专业技术,约10%将用于先进封装测试、掩模制作及其他用途。台积电董事长魏哲家表示,资本支出增加的主要原因是看到了强劲的结构性AI相关需求持续增长。2024年第二季度台积电营收达到208亿美元,环比增长13.6%。其中,3纳米工艺技术贡献了晶圆收入的15%,而5纳米和7纳米分别占35%和17%。从平台收入来看,高性能计算(HPC)环比增长28%,占第二季度收入的52%,首次超过50%。台积电预计,2024年除存储器外的整体半导体市场将增长约10%。2024Q2台积电营收数据一览(图源:台积电财报)2024Q2台积电营收按平台分(图源:台积电财报)为了满足长期产能需求,台积电董事会于2024年6月5日通过了一系列扩产建设决议,批准资本拨款约173.5620亿美元,用于安装及升级先进技术产能、先进封装、成熟及/或特殊技术产能,以及晶圆厂建设和设施系统安装等。2024年6月5日,台积电董事会通过了一系列的扩产建设决议,为满足基于市场需求预测及技术发展路线图的长期产能计划,董事会批准资本拨款约173.5620亿美元,用于以下用途:1)安装及升级先进技术产能;2)安装及升级先进封装、成熟及/或特殊技术产能;3)
7月27日 上午 11:46
其他

芯片行业,好了吗?

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~一个礼拜前,半导体行业观察发布了一篇题为《芯片暴跌,全赖特朗普》的文章。文中,我们介绍了在特朗普对芯片行业和评价以及美国的相关政策影响下,导致芯片行业市值大跌。但现在,随着海外芯片巨头纷纷发布财报,芯片行业又迎来新一轮的暴击。但和七天前受到不确定消息引起的行业恐慌不一样,这次的芯片大跌,则完全是在业绩数据以及预期拖累的结果。以至于笔者昨日一直在和行业朋友说:“NXP带来的恐慌,被TI拉起来一把,再被瑞萨和ST推进了更深的深渊。”NXP敲响警钟,TI稳住心态荷兰芯片制造商NXP周一在一份声明中表示,公司在截止6月30的第二季度收入为31.3亿美元,同比下降5%。具体而言,汽车部门收入为
7月26日 上午 10:03
其他

奕斯伟董事长王东升:看好RISC-V,推动新一代数字基础设施生态落地

王东升重申,奕斯伟计算创立时的定位就是做AI时代以RISC-V为基础的新一代计算架构芯片与方案提供商。“我们的初衷是希望做对行业发展有帮助的事,对国家和世界有贡献的事。”王东升强调。
7月26日 上午 10:03
其他

半导体巨头财报,释放什么信号?

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~在全球半导体行业经历了新一轮的周期动荡后,回暖复苏正在成为业界共同期盼的信号。而所谓春江水暖鸭先知,作为产业链上游的设备和晶圆代工行业,巨头的业绩则能敏锐的反映出产业复苏的程度和节奏。近日,EUV设备巨头ASML和晶圆代工霸主台积电,以及车用芯片大厂恩智浦(NXP)披露了最新季度财报,透露出多个市场信号,预示着半导体行业正迎来新的周期。本文将从两大巨头财报的亮点、行业趋势、未来展望以及市场反应等多个维度进行分析,一窥当前产业发展现状与未来走势。ASML财报:喜忧参半7月17日,ASML发布了2024年第二季度财报。财报披露,2024年第二季度,ASML实现净销售额62.4亿欧元,同比下滑10%,环比增长18%;毛利率为51.5%,实现同比/环比双增长;净利润达15.8亿欧元,同比下滑19%,环比增长29%。ASML
7月25日 上午 9:11
其他

半导体行业的“良率之殇”

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~身处后摩尔时代,半导体行业正面临着一场艰苦的“良率”战斗。随着芯片越来越复杂化,晶体管密度成倍增加,芯片制造良率管理难度也呈指数级增长,特别是对于那些追求先进制程的晶圆厂而言,更是会成为致命伤。良率:半导体工厂的生命线良率是半导体工厂的核心竞争力所在,也被称为是半导体工厂的“生命线”。半导体良品率是实际生产的芯片总数与一个晶圆上最大芯片(集成电路)数的百分比,换句话说,良率是实际生产的数量与投入的总数量之比。良品率越高,生产率就越高,良率直接影响着成本和产能利用率。因此,提高良品率在半导体行业非常重要。同时,良率也是评估企业竞争力的重要标志之一,直接反映了制造过程的稳定性和产品质量的可靠性。在逻辑芯片领域,按照摩尔定律的指引,目前能够实现量产的最先进工艺是3纳米。在该领域,台积电和三星是两大主要的玩家,两家在这场3nm之战中展开了激烈角逐。三星于2022年6月率先宣布3nm量产,比台积电早几个月。三星是业界率先在3nm中引入栅极环绕
7月25日 上午 9:11
自由知乎 自由微博
其他

晶圆代工巨头,新竞赛

130nm工艺,共计32次,较去年增加约10%。计划到2025年将扩展至35次。需求量大的4nm工艺今年将再增加一次。在当天的活动中,Telechips社长李长奎、Above
7月24日 上午 9:32
其他

并购欧洲EDA公司,概伦电子引领国产EDA产业升级

博士,他为我们详细解读了Magwel产品在EDA领域的核心优势。Dündar
7月24日 上午 9:32
其他

HBM的意外赢家

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~伴随AI的兴起,HBM成为巨头们抢占的高地。三星、SK海力士、美光等存储巨头纷纷将HBM视为重点生产产品之一。HBM的火热,给市场掀起巨大波澜。根据TrendForce数据预测,2024年HBM需求位年增长率近200%,2025年有望再翻倍。SK海力士与美光曾公开表示,两家2024年的HBM已经售罄,就连2025年的HBM产量也几乎被预订一空。供不应求的供需关系背后,HBM供应商们各个赚的盆满钵满。甚至在前几年存储市场数个季度持续低迷的态势下,HBM业务仍表现亮眼,成为拉动存储厂商需求提升的关键。当前,HBM市场格局三分天下。有数据统计,SK海力士占据超过50%的市场份额,三星约占40%,美光市占率或不足10%。若以现阶段主流产品HBM3产品来看,SK海力士于HBM3市场比重超过9成,三星与美光紧追在后。然而,除了三巨头之外,HBM对设备市场带来增量需求。对前道设备而言,HBM需要通过TSV进行垂直方向连接,会带动刻蚀设备、PECVD、PVD、ECD和减薄抛光等前道设备增量需求,但相对有限。后道环节,由于HBM堆叠结构增多,要求晶圆厚度不断降低,对减薄、键合等设备需求提升较大,后道封测设备则存在量价齐升的逻辑。其中,TC
7月23日 上午 9:10
其他

AI生变:卖身、吞并、洗牌

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~最近几年来,如果要问哪个技术赛道最火热,AI一定当仁不让,其在全球范围内掀起了一波又一波浪潮。英伟达上演AI王者的“独角戏”,市值一度狂飙至全球第一。更是有全球顶级科技投资人James
7月21日 上午 10:43
其他

三星芯片帝国,渡劫!

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~1993年6月,三星董事长李健熙在德国法兰克福提出了“新经营”宣言,影响最大的就是那句“除了老婆孩子,一切都要变化”的名言,尽管三星此时在DRAM市场刚拿下第一的位置,但三星的其余部门并没有像半导体那般成功,在韩国本土以外,标着SAMSUNG的通常只是无人问津的二三流产品。为了彰显三星的决心,1995年3月,三星电子2000多名员工汇聚在Anycall手机堆积如山的龟尾厂区操场,人人头缠布条,上书“确保品质”四字,最终总价值500亿韩元的15万部手机被抡锤痛砸并付之一炬。80年代时,三星集团曾一度落后于LG和现代,而李健熙作为三星第二代掌门人,凭着强硬手腕和远见卓识,重塑了三星帝国,其中半导体部门和手机部门作为他关注的重点,成功在90年代打响名号,成为了三星最重要的两大支柱性产业。距离李健熙发表法兰克福宣言已经过去三十余年,如今的三星却是麻烦缠身,堆积了一堆问题韩国第一财阀,似乎已没了当年的意气风发。难掩颓势的市场在李健熙发表宣言的31周年之际,三星现任会长李在镕也前往了美国出差,就像当年李健熙会长环游世界一样,李在镕也在两周内完成了美国各地30余项官方日程。虽然与新经营宣言时期相比,三星已发展成更大更优秀的企业,但李在镕面临的现实依然不容乐观。根据尤金投资证券的数据,三星电子的销售额自2012年突破200万亿韩元后,至2023年这11年间年均增长率仅为2.3%。以美元计算,增长率仅为1%,这是一份相当黯淡的成绩单,甚至没跑赢韩国GDP增长率。这还只是粗略来看,当我们仔细研究一下目前三星电子的业绩,就会发现更大的问题。2013年,三星电子移动部门销售额相当于苹果销售额的73%,而到了2023年,这一数字不增反减,大幅滑落至22%,Galaxy
7月20日 上午 9:59
其他

芯片暴跌,全怪特朗普

月以来的最大盘中跌幅。这一场场、溃败,使费城半导体指数的股票市值蒸发了4960亿美元。投资者已经习惯了科技股不停的好消息,所以最轻微的负面情绪都会让人们措手不及,并引起市场的恐慌,”AJ
7月18日 上午 9:29
其他

SiC风口正劲,射频巨头Qorvo乘势而上

器件助力电路保护等应用的发展,推动断路器技术的革新。如今,Qorvo的SiC产品种类已经非常丰富。下图展示了Qorvo公司的SiC功率器件产品系列。产品主要分为四类:肖特基二极管(Schottky
7月16日 上午 10:02
其他

天准科技发布国内首台40nm明场纳米图形晶圆缺陷检测设备,开启国产半导体高端检测设备新时代

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~近日,天准科技(股票代码:688003.SH)参股的苏州矽行半导体技术有限公司(下文简称“矽行半导体”)宣布,公司面向40nm技术节点的明场纳米图形晶圆缺陷检测设备TB1500已完成厂内验证,标志着国产半导体高端检测设备实现了新的突破。这是继去年8月,天准科技正式交付面向12英寸晶圆65~90nm技术节点的宽波段明场缺陷检测设备TB1000不到一年后,再次取得的阶段性新进展。高精度明场缺陷检测设备的重要性从整片晶圆到单颗芯片,除了需要耳熟能详的光刻机外,还需要扩散炉、刻蚀机、离子注入机、薄膜沉积设备、化学机械抛光机和清洗机等一系列必备生产型设备。而缺陷检测设备作为保证芯片质量、降低生产成本,推进工艺迭代的重要工具,在芯片生产流程中不可或缺。特别是随着工艺制程不断演进,制造芯片的成本越来越高,检测设备的重要性与日俱增。其中,拥有更高检测精度、更全缺陷类型覆盖率的明场缺陷检测设备备受行业青睐。作为国产半导体设备厂商的代表,成立于2021年11月的矽行半导体,汇聚了来自国内外知名半导体设备公司、晶圆代工厂、上市公司和研究机构的顶尖人才,专注于高端晶圆缺陷检测设备及零部件的研发、生产和销售,努力填补国产缺陷检测设备市场的空白。依托卓越的技术团队和先进的技术实力,逐步打破了KLA等外商对缺陷检测市场的垄断,为国内半导体产业的发展注入了新的活力。TB1500是矽行半导体最新的研发成果,核心关键部件全部实现自主可控,同时采用了先进的信号处理算法,有效提高信噪比和检测灵敏度。为了满足40nm技术节点的工艺制程需求,TB1500提升了光源亮度和感度,增大了物镜视野和速度,能够捕捉更小缺陷尺寸。天准科技半导体设备深布局,助推国产半导体行业突破此外,天准科技在半导体设备领域持续发力。全资子公司MueTec研发的面向12英寸40nm技术节点的DaVinci
7月15日 上午 8:44
其他

天价EUV光刻,何去何从?

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~数十年来,在摩尔定律推动下,半导体行业一派向好。随着工艺制程不断发展,台积电、三星、英特尔等晶圆代工厂商之间的竞争已进入先进制程赛道。在此过程中,EUV极紫外光刻设备成为各厂商争夺的焦点。据报道,2024-2025年,台积电将接受60台EUV光刻机,预估总费用将超122亿美元;英特尔率先拥抱全球第一台High
7月15日 上午 8:44
其他

国内模拟芯片并购潮来临,下一个模拟巨头即将诞生?

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~模拟芯片依旧是目前半导体市场的大热门之一。根据第三方调研机构的数据,全球模拟芯片市场规模从2017年的531亿美元增长到2022年的845亿美元,2023年则增长至948亿美元,较
7月11日 上午 8:53
其他

NoC技术,重焕新生

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~数十年来,在摩尔定律的推动下,集成电路工艺取得了高速发展,单位面积上的晶体管数量不断增加。SoC凭借集成度高、功耗低、成本低等优势,已成为大规模集成电路系统设计的主流方向,解决了通信、图像、计算、消费电子等领域的众多挑战性的难题。随着应用需求越来越丰富,SoC需要集成越来越多的不同应用的IP,片上多核系统MPSoC也已经成为必然的发展趋势。以数字电视的SoC芯片为例,包含了运行操作系统和应用程序的CPU,处理音频编解码的DSP,处理图形相关任务的GPU,处理AI图像算法的NNA,以及一些视频编解码、后处理等专用模块,以及视频信号的调制解调器等,一个复杂的SoC系统上有各种功能模块IP。在复杂的异构计算生态系统中,采用多个不同IP的复杂组合对片上通信提出了更高的要求,同时片上服务质量、仲裁和数据流优化的复杂性越来越高,传统的片上互连方法已经无法适应时代的变化。对此,片上网络互连技术(NoC)日益成为行业关注的焦点,旨在提供一种解决芯片内不同IP或者不同核心之间数据传输的片上通信方案,成为连接多个处理单元和外设的关键。为什么说NoC是多核系统的最佳互联机制?片上网络互连(Network-on-Chip,NoC)是集成电路上的一种基于网络的通信子系统,用于SoC中的模块之间,基于路由器的分组交换网络,连接SoC各模块。NoC技术作为一种分布式、高度并行的通信架构,通过网络化的方式连接处理器核心、内存和各种外设,大幅提高了数据传输效率和通信带宽。其设计不仅需要考虑高带宽、低延迟,还需要兼顾功耗、面积和可靠性等多方面因素。在介绍NoC之前,我们先来看一下片上互联技术近些年来的发展历程,主要可以分为三个阶段:共享总线(Bus):传统的SoC片上通信结构一般采用共享总线的方式。在此结构中,所有处理器和IP模块共享一条或多条总线。当有多个处理器同时访问一条总线时候需要有仲裁机制来决定总线的所有权。典型的AMBA总线系统共享总线片上通信系统结构一般比较简单,硬件代价也小,但带宽有限,而且带宽也没法随着IP的增多而进行扩展。ARM公司在1996年提出的AMBA总线广泛应用于嵌入式微处理器的片上总线,现已成为事实上的工业标准。可以认为,Bus是最简单的互联,一般适用于十个以下互联设备的小系统。交叉开关矩阵(Crossbar):传统的共享总线面对多个处理器同时访问不同IP的情况时,因为需要仲裁机制去决定总线所有权,所以这种方式在此情况下就会造成一定瓶颈,导致访问的延时。对此,为了满足多处理同时访问的需求,并提高整个系统的带宽,一种新的解决方案Crossbar孕育而生。典型的单向8x8
7月7日 上午 10:45
其他

英伟达平替?国产GPU万卡集群来了

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~最近两年,大语言模型发展迅猛,对算力需求激增。然而,英伟达A100等高端GPU一卡难求,是挑战还是机遇?众多国产算力厂商开始寻找新的替代方案。作为国内仅有的可以在功能上对标英伟达的GPU企业,摩尔线程试图用“集群化”的解决方案,助力国产GPU突破算力瓶颈。7月3日,在2024世界人工智能大会召开前夕,摩尔线程宣布其夸娥(KUAE)智算集群解决方案实现重大升级,从当前的千卡级别大幅扩展至万卡规模,以此来完成对大模型的托举,为万亿参数级别的大模型训练提供持续高效、稳定、且广泛适用的通用算力支持。AI主战场,万卡是标配AI大模型时代,巨头们都卷入一场算力军备赛。2023年5月10日,Google推出的超级计算机A3
7月4日 上午 9:01
其他

AI时代进击的CPU们

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~来源:内容由半导体行业观察(ID:icbank)转自知乎,作者:高洋,谢谢。毫无疑问,GPU和AI加速器才是AI时代算力的最大提供者。训练AI模型需要GPU的超大算力,但一块GPU越来越存不下今天的模型,互联多块GPU成了刚需,各种高端的网卡,DPU也诞生了。推理AI模型也需要非常高的算力,同时对存储带宽的要求也越来越高。即便是端侧,这几年移动GPU和NPU的进步,AI的部署也大多从CPU侧迁移到更大算力,更高能效的模块中。一切都在向着异构
7月3日 上午 9:02
其他

被大陆打败的面板厂,转向FOPLP

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~近几年来,面板产业波云诡谲,日韩由盛及衰,大陆强势崛起。众多老牌面板厂纷纷倒下,剩余的旧工厂也面临着低成本、低效率和产能闲置的困境。在这样的背景下,转型成为面板厂商的必由之路。一些厂商开始布局新型高附加值产品,如OLED、Micro-LED等。2020年前后,韩国面板制造商三星和LG
6月30日 上午 10:53
其他

风起云涌的DPU市场,国产厂商战犹酣!

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~过去五年DPU(数据处理单元)迎来高速发展,芯片巨头和云厂商们大举进军DPU,纷纷“抢滩”DPU市场。2021年英伟达发布BlueField2的DPU产品,并高调宣称这是数据中心场景下的“第三颗支柱型芯片(原文为the
6月29日 上午 11:13
其他

日韩半导体的相爱相杀

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~对于韩国半导体行业来说,2019年7月1日是一个难以忘记的日子。在这一天,日本政府宣布对出口韩国的半导体工业材料加强审查和管控,并将韩国排除在贸易“白色清单”以外,其中包括了氟聚酰亚胺、光刻胶、氟化氢这三样在半导体生产中至关重要的原料。这一单方面的制裁一经推出,就引发了韩国半导体产业的地震,尤其是三星和海力士这两位巨头,三星甚至表示,库存中高纯度氟化氢的余量仅够维持几周时间,如果不能及时解决供应问题,只能采取减产、甚至停产措施进行应对。在经历了短暂的阵痛期后,韩国企业开始自寻出路,如何摆脱日本在半导体材料方面的影响,成为了那几年韩国最热议的话题之一。但直到2024年的今天,韩国和日本依旧是一对相爱相杀的冤家。韩国,存储偏科生韩国的半导体产业始于朴正熙时代。1966年,随着美国半导体制造商仙童半导体(Fairchild)投资建厂,韩国开始正式发展半导体产业,接下来几年时间当中,摩托罗拉(Motorola)、Signetics、AMI
6月29日 上午 11:13
其他

颇尔新加坡工厂投产:支持亚太地区先进芯片制造

受生成式AI、高性能计算、5G、自动驾驶等新兴应用的强劲需求牵引,芯片制造工艺持续向微缩化方向演进。例如,半导体IP龙头Arm正积极推进3纳米物理设计技术,AI芯片巨头英伟达和AMD的下一代GPU芯片也瞄准3纳米制程,晶圆代工巨头台积电和三星则马不停蹄地攻坚2纳米和1纳米工艺节点,竞相抢购下一代High-NA
6月28日 上午 9:31
其他

光刻技术,新里程碑

成为主流技术。“压印光刻是一种接触式图案化方法。压印光刻用于对缺陷有容忍度的应用。”分析人士强调。多光束直写则是另一个选择。和纳米压印一样,这其实也并不是什么新技术。早在20
6月28日 上午 9:31
其他

这届DAC上,越来越“务实”的国产EDA,带给产业新的惊喜

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~编者按FusionFlex在市场上几乎没有对标的产品,它最大的特点是“实用”——工程师可以透过FusionFlex看到整个验证流程和多个结果,更高效地管理和调度来自不同供应商的多种工具和多项资源,最重要的是,它可以灵活调用云计算资源,满足项目高峰期的弹性资源需求。据了解,目前产品在早期客户试用下来,得到了非常好的成果,能够提高项目综合验证效率20%以上!而华大九天与芯华章的合作,同样是立足用户痛点的“务实之举”。双方以各自擅长的技术深度融合,为客户打破不同部门之间的技术藩篱,提供更加高效、顺畅的项目协作效率,打造了中国EDA生态联合的创新范例。6月24日,在一年一度的全球电子设计自动化盛会DAC
6月26日 上午 8:43
其他

3D NAND,只能堆叠?

NAND芯片进入市场,应用于任天堂Switch等产品。计划推出具有96层的第二代产品,其准备在行业中取得进一步进展。厂商们甚至已经开始绘制1000层的蓝图。激进的铠侠近期表示,以每年
6月25日 上午 9:05
其他

汽车大芯片,巨变前夜

与总拥有成本经济性。BOM基础上可以节省多少成本?从总拥有成本角度考虑,并考虑投资头几年的要求(例如新的开发模式和新的工具),商业案例是什么样的?关于芯粒,有三种可行的做法:首先,OEM
6月24日 上午 9:27
其他

替代EUV光刻机光源,日本方案详解

cm-2,并且不依赖于脉冲长度小于10ps。此外,在光束线的概念设计中,FEL光首先通过弯曲掠射镜垂直扩展,然后通过分割的多弯曲镜水平扩展和分离10个光刻机,如图5所示。在距离多曲面反射镜3
6月23日 上午 9:16
其他

打破CUDA的垄断

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~来源:内容由半导体行业观察(ID:icbank)编译自HPCwire,谢谢。随着人工智能不断渗透到生活的各个领域,这些工具将运行在哪种软件上仍然是一个问题。软件堆栈(或协同工作以在计算系统上实现特定功能的软件组件集合)的选择在以
6月23日 上午 9:16
其他

晶圆代工,战火蔓延

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~长期以来,在摩尔定律的驱动下,晶圆代工厂一直紧追芯片制程工艺一路向前。时至今日,这场决赛的最后仅剩台积电、三星和英特尔,在先进制程节点展开肉搏。近年来,在人工智能、移动和高性能计算应用的驱动下,半导体市场逐渐复苏,市场对于先进制程产能的需求非常旺盛。据数据预测,全球芯片制造产能中,10nm以下制程占比将会大幅提升,将由2021年的16%上升至2024年近30%。另一方面,瞄准先进制程的几大巨头间的竞争也十分激烈,都意在通过展示综合实力获得更多市场份额。在这场晶圆代工行业的反击和保卫战中,台积电、三星和英特尔都在不断创新,争夺制程技术的领先地位。台积电会继续“封神”吗?多面出击的三星和英特尔,又将夺得几杯羹?台积电披露工艺路线与前景展望作为半导体行业的领导者,台积电在过去的30多年中立下赫赫战功,成为世界第一大芯片代工企业。在半导体科技的快速演进中,台积电一直是全球先进制程技术的引领者。台积电工艺路线图披露近期,台积电又宣布了一系列雄心勃勃的工艺路线图更新,预示着半导体制造即将迈入一个前所未有的时代——Ångström级工艺节点到来。根据其工艺路线图显示,在2025年至2026年间,台积电即将推出的几项关键工艺技术,包括N3X、N2、N2P,以及革命性的A16工艺,揭示它们如何推动技术边界,以及这些进步对电子产品性能、能耗和未来技术发展的影响。台积电工艺路线图N3P:作为N3工艺的增强版,N3P在性能、功耗和密度方面进一步优化,为客户提供更多选择。N3X:面向极致性能的3纳米级工艺,通过降低电压至0.9V,在相同频率下能实现7%的功耗降低,同时在相同面积下提升5%的性能或增加约10%的晶体管密度。N2:台积电首个采用全栅(GAA)纳米片晶体管技术的节点,GAA晶体管通过环绕沟道四周的栅极提高了对电流的控制能力,从而显著提升PPA特性,相较于N3E有明显进步,N2可使功耗降低25%-30%,性能提升10%-15%,晶体管密度增加15%。N2P:N2的性能增强版本,进一步优化功耗和性能,在相同晶体管数量和频率下,N2P预计能降低5%-10%的功耗,同时提升5%-10%的性能。适合对这两方面都有较高要求的应用。A16:台积电的A16工艺首次引入背面供电网络技术(BSPDN),这一创新直接将电源供应集成到晶体管的背面,极大地提升了电力传输效率和晶体管密度;同时结合GAAFET纳米片晶体管,目标是在性能和能效上有显著提升。A16将成为首个“埃级”工艺节点,标志着半导体制造进入一个新的时代。与N2P相比,A16在相同电压和复杂度下,预计性能提升8%-10%,功耗降低15%-20%,芯片密度提升了1.1倍。这一技术的引入,将为高性能计算产品,尤其是那些对能源效率和信号路径有极高要求的应用,开启新的可能性。综合来看,台积电这一系列工艺技术创新不仅展示了其在半导体制造领域的持续领导力,更为未来电子产品的性能升级、能源效率提升以及更广泛的技术革新奠定了坚实基础。尤其是随着“埃”级工艺节点的到来,半导体行业正步入一个充满挑战与机遇的新时代。另外,据了解台积电的A16制程不依赖于最新的High-NA
6月22日 上午 9:53
其他

铜互连,尚能饭否?

晶粒似乎可以降低电阻率,至少当晶粒小于整体互连尺寸时是这样。尽管钌互连所需的辅助层比铜少(这是重点的一部分),但金属蚀刻和电介质填充工艺的重新引入必将让工艺工程师在未来几年里忙碌不已。参考链接
6月21日 上午 8:41
其他

新加坡半导体,躺赢

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~大概2年前,我在《起底新加坡半导体》一文中,梳理了新加坡半导体产业的发展历程和演进脉络——从迅速崛起,到战略大撤退,再到重返战场,新加坡半导体产业上演了一场“栽下梧桐树,引得凤凰来”的故事。这两年来,半导体行业历经周期波动、产能竞赛、产业链更迭,全球市场风云变迁。而如今以金融和贸易闻名的新加坡,这个曾经的全球半导体产业重镇,正在云谲波诡的局势中迎来新的转机。新加坡政府定下目标,计划在2030年之前,为半导体产业为主的制造业带来50%的提升。诸多大型芯片厂商也正采取行动,在新加坡投资加码、增加产能。芯片大厂,押注新加坡恩智浦联手世界先进,进军新加坡近日,世界先进和恩智浦半导体宣布,将在新加坡联合成立一家名为VSMC的合资公司,并建造一座12英寸(300mm)半导体晶圆制造厂,总投资额为78亿美元。据悉,该合资公司在获得相关监管机构的批准后,将于2024年下半年正式启动晶圆厂的建设,计划2027年实现量产并开始向客户供应首批芯片产品,预计到2029年12英寸晶圆的产能将达5.5万片/月。新建的晶圆厂将主要采用相对成熟的130nm至40nm制程工艺,生产混合信号、电源管理和模拟芯片产品等,目标客户主要面向汽车、工业、消费电子以及移动终端市场。另外,在首座晶圆厂成功实现量产后,世界先进和恩智浦还将考虑继续建造第二座晶圆厂。世界先进董事长方略表示,选择在新加坡建厂出于多方面的考量,一方面,我们已经拥有了此前收购了格罗方德位于新加坡的
6月20日 上午 9:10
其他

HBM的逆袭好戏!

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~2024年的半导体行业中,始终绕不开两家韩国公司。一家是三星半导体,另一家是SK海力士,这两家共同控制了全球70至80%的内存市场,让本来羸弱的本国半导体得以比肩美日欧等老牌发达国家,成为了韩国经济腾飞的一个缩影。耐人寻味的是,这两家公司也与一般的半导体公司有所不同,它们的前缀代表了目前韩国最大的两个财阀集团——三星和SK。虽然说背靠大树好乘凉,但三星半导体和SK海力士现在的境遇却大有不同:后者凭着HBM和服务器DRAM,已然走出了去年下行周期的阴影,但前者却是屋漏偏逢连夜雨,一边是HBM没能通过英伟达的验证,另一边先进制程的代工业务也难有起色。同样是财阀,同样是做内存,为什么海力士就是比三星过得好呢?归根到底,这是一个由HBM主导的逆袭好戏。HBM的崛起HBM的历史最早可以追溯到十几年前。2009年后,TSV(硅通孔)技术逐步成熟,这种新型三维堆叠封装技术将多颗芯片(或者晶圆)垂直堆叠在一起,然后在内部打孔、导通并填充金属,实现多层芯片之间的电连接,能够大大减少半导体设计中的引线使用量,降低工艺复杂度,从而提升速度、降低功耗、缩小体积。随着尔必达、海力士、三星和美光等厂商陆续推出采用TSV技术的DRAM,合并了ATi的AMD开始勾勒HBM的雏形,当时GPU所使用的GDDR显存受到了内存带宽和功耗控制的限制,而HBM的思路,就是用TSV技术打造立体堆栈式的显存颗粒,让“平房”进化为“楼房”,同时通过硅中介层让显存连接至GPU核心,并封装在一起,完成显存位宽和传输速度的提升。为了完成HBM的设计,AMD找了几个合作伙伴,分别是有3D
6月19日 上午 9:29
其他

NVM IP:驾驭先进节点设计的存储利器

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~当前,随着摩尔定律的逐渐走向极限,芯片制造业已经跨越了3纳米节点,正朝着2纳米的方向迈进。这一技术演进带来的不仅仅是节点尺寸的缩小,更是对集成度、功耗和性能的极致追求。在这个挑战日益严峻的背景下,芯片设计者面临着前所未有的压力和机遇。与此同时,内存技术作为芯片设计的重要组成部分,也受到了极大的关注和挑战。NVM
6月18日 上午 8:38
其他

破产、裁员、并购,2024半导体没有想象的好?

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~在经历了2023年的艰难时期后,许多调研机构和大型企业原本对2024年的半导体销售展望颇为乐观。然而,现实情况却令人担忧。频频发生的裁员潮、接连爆雷的芯片企业,以及一波接一波的并购整合案,种种迹象表明2024年全球半导体行业依然面临着不小的挑战。芯片公司破产潮去年,哲库的突然解散让业界震惊,而2024年以来,芯片公司破产清算和面临破产危机的事件更是频频发生。2023年
6月18日 上午 8:38
其他

光伏储能风口之下,国产MCU加速突破

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~近年来,智能化逐渐成为电子产品的主流需求,MCU作为衔接物理世界与数字世界的桥梁,在汽车电子、工业控制、新能源、智能生活等诸多领域迎来新的市场增量,也面临着更高的技术规格和生态建设要求。尤其是在工业领域,随着低碳发展战略成为国家战略,以风电、光伏、新型储能技术为代表的新能源电力体系正快速上升为我国能源结构主体,市场需求提速。与此同时,智能制造业的快速发展也给变频器、伺服驱动、电机控制等领域带来了新市场增量。其中,MCU作为光伏、储能和工控等领域中不可或缺的关键部件,以高效节能的控制特性,在光伏逆变器、充电桩、中高压储能、变频器、伺服控制、UPS和数字电源等场景中应用广泛,这些场景对MCU的运算速度、接口数量和支持的存储空间等提出了更高要求。也正是由于包括光伏和储能等在内的市场高度景气,持续提升了MCU的出货量。据Yole研究报告显示,2023年全球MCU市场规模约229亿美元,预计至2028年将以5.3%的年复合增速达到320亿美元。过去多年以来,在设计创新和工艺突破等驱动下,MCU已经在低成本、低功耗、高处理效率和高性能等特性方向有了长足的进步。如今产业转型升级机遇涌现,追求更高性能和实时性的MCU已成为行业趋势。MCU市场机遇涌现,华太电子强势出击在市场规模持续扩张的走势下,围绕智能化趋势下MCU市场需求和技术需求的变化、MCU的创新突围方向,以及MCU供应商如何打造差异化优势等关键点,行业厂商展开了新的博弈。尤为值得关注的是,全球MCU市场长期以来基本由海外芯片巨头垄断,特别是用于实时控制的MCU产品,基本被几个海外厂商垄断。但在近年来的技术追赶和国产替代风潮的多重因素驱动下,本土MCU产品正处于“从无到有”、“从有到多”、“从多到强”的进程中,华太电子作为本土半导体行业的佼佼者,正在助推新的发展浪潮。华太电子成立于2010年,以赋能智慧科技、共创低碳世界为使命。目前重点关注两个领域:一个是万物互联,另一个是新能源。在新能源赛道领域,华太电子的产品主要服务于光伏与储能逆变器、UPS、储能电池包、伺服控制器、变频器、OBC、车载配电、车载DC-DC等,为行业客户提供产品和服务。多年来,华太电子围绕射频和功率两大产品线持续深耕,在这个过程中持续追随客户需求和市场形势,逐渐发现了包括MCU在内的更多新的机会点,也构成了本次华太MCU得以成功上市的契机所在。6月14日,在SNEC第十七届(2024)国际太阳能光伏与智慧能源(上海)大会暨展览会期间,华太电子召开Copter
6月17日 上午 8:46
其他

老牌IDM,变了!

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~近日,恩智浦与世界先进宣布,计划耗资78亿美元在新加坡建造一座新的芯片工厂,预计将于2027年投入使用。投入运营后,每月将能够生产规模超过50000片硅晶片的芯片,专注于模拟和混合信号芯片领域。恩智浦与世界先进的联手,除了将向汽车、工业、消费硬件和移动设备领域出售这些芯片之外,更值得思考的是IDM大厂与晶圆代工厂联合建厂的举动和趋势。实际上,近年来IDM厂商与晶圆厂携手建厂动作频频。例如,2023年,台积电与博世、英飞凌和恩智浦三家欧洲芯片企业合资设立欧洲半导体制造公司ESMC,共建晶圆厂。2022年7月,意法半导体和格芯合作在法国合建一座12英寸新厂,推进FD-SOI生态系统建设。此外,索尼与台积电合资的芯片厂也将在2024年投产。能看到,行业IDM芯片巨头正在不约而同地与代工厂斥巨资扩建晶圆厂。这些举动背后,究竟是在计划着什么,背后又蕴含怎样的产业趋势?IDM联手晶圆厂背后IDM向Fablite转型在半导体产业内,晶圆代工厂和IDM企业都有晶圆厂。然而,随着半导体制造工艺的不断推进,以及半导体技术和产品更新迭代速度的不断加速,IDM厂商想要兼具发展产品和生产工艺两方面,压力巨大。与此同时,晶圆代工企业的晶圆产线席卷芯片设计领域,IDM企业或出于降低成本,或出于产品布局考虑,停产了部分设备落后的晶圆厂产线,开始向Fablite模式转型,即轻晶圆厂模式,将部分制造外包给代工厂,仅保留少部分产品自己生产。Fablite是企业为减少投资风险,“资产轻量”的一种策略,它结合了IDM和外包晶圆代工的特点。在这种模式下,相关厂商或IDM企业保留了部分自有的生产能力,自主完成关键生产环节,在保证芯片质量和可靠性的前提下,非关键生产环节业务委外加工,因此称作“轻晶圆”模式。该模式可为需要扩大芯片制造产能的厂商提供低成本、更为灵活的解决方案,市场需求响应快速。过去几年来,TI、NXP、英飞凌、意法半导体、瑞萨电子、安森美半导体等均有出售晶圆厂的案例,以优化公司经营状况。在《模拟芯片,走到岔路口》一文中,笔者曾提到,“随着产业发展的变化,IDM大厂选择将相当大一部分产能外包给代工厂,由传统的模拟IDM逐渐走向了Fablite模式。”实际上,早在10年前,Fablite模式已经被提出。据IC
6月17日 上午 8:46
其他

PCIe路线图让人失去耐性?7.0争夺战已然打响!

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~PCIe作为高速串行计算机扩展总线标准,现如今早已普及到每一台PC当中,不论是普通用户还是专业人士,不论是大型公司还是小型企业,都在享受这一项标准带来的好处。但这项历史悠久的标准并非一成不变,在过去的二十余年时间里,它已经经历了六次迭代,每通道的传输速率从2.5
6月16日 上午 10:45
其他

第三代半导体发展现状及未来展望

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~来源:内容来自科技导报,谢谢。本文在分析第三代半导体重要战略意义的基础上,讨论了中国在相关领域技术和产业化能力的发展状况,阐述了“大尺寸、降成本”是当前碳化硅及氮化镓技术的发展重心,并探讨了第三代半导体行业企业发展模式以及可能存在的问题及风险。尽管中国已具备良好基础,但仍存在不足,建议在国家政策的指导下,以应用牵引实现发展,加大产线的持续支持力度,系统地丰富产品形态,促进第三代半导体产业高质量发展,把握未来应用新机遇。20世纪80年代初,第三代半导体初露峥嵘,率先在化合物照明领域取得重大突破,目前已经在全球形成万亿级的市场规模。近3年受新冠疫情影响,第三代半导体发展有所缓滞,但全球体量仍以每年约10%的复合增长率提高。随着深紫外发光二极管(LED)、Mini-LED、Micro-LED等革新技术的出现,第三代半导体在光电子领域又开辟出新型显示、智慧农业、医疗健康等新的应用场景,将进一步扩大市场规模。1993年,随着第1个具有微波特性的氮化镓(GaN)高电子迁移率晶体管(HEMT)器件被公开报道,第三代半导体迅速进入微波射频的研发和应用领域,尤其是GaN射频器件,以其特有的高功率、高效率、高线性、高工作电压、抗辐照等优异特性,成为硅(Si)、砷化镓(GaAs)等器件的理想替代者,在军事装备、航空航天、第五代移动通信(5G)技术等领域发挥了重要的作用,并展现出了广阔的发展前景。21世纪初,以S波段固态微波射频器件为代表,美国首先将碳化硅(SiC)应用到装备中,尽管随后逐渐被GaN取代,但其具有的高耐压、高频率特性得到电力电子领域的青睐,正逐步成为Si电力电子器件的替代者,尤其是2002年后,SiC单晶衬底技术迅速发展,制造成本大幅降低,未来将在新能源汽车、高铁、智能电网等领域发挥重大作用,预计支撑10万亿元以上的市场规模。第三代半导体在光电子、射频电子和电力电子3个领域经历20多年的发展,支撑了万亿级的市场规模,并且还在不断地涌现新的应用场景,激发新的发展潜力。市场巨大的应用场景5G展现“中国速度”,GaN射频器件施展空间广阔5G是当前代表性、引领性的网络信息技术,具有高速度、泛在网、低功耗、低时延、高可靠的特点,将实现万物泛在互联、人机深度交互,渗透到经济社会的各行业各领域,成为支撑经济社会数字化、网络化、智能化转型的关键新型基础设施。5G基站对射频器件提出更高的要求,传统的横向扩散金属氧化物半导体(LDMOS)无法适应5G的高频率,而GaN适应的频率范围拓展了40GHz甚至更高,可适应5G高频的需求;GaN具有软压缩特性,更容易预失真和线性化,实现更高的效率;GaN可以做到更高的功率密度,达到LDMOS器件功率密度的4倍左右;GaN封装尺寸仅是LDMOS的1/4~1/7,GaN射频器件更适用于5G基站。2010年,GaN基高功率微波放大器件首先应用于小体积、高线性度等高端基站设备,开始向移动通信市场投放。随着第四代移动通信(4G)无线网络基础设施建设的全面铺开,2014年GaN应用明显增多,而2GHz以上Si基LDMOS器件的市场占有率从92%下降至76%。而5G的推出,让GaN微波功率放大器接受度更高,在高频段下,只能依赖GaN基HEMT器件。目前,GaN基HEMT的微波射频技术基本实现了第三代半导体相对于前代半导体(Si基LDMOS、GaAs/InP基pHEMT等)的大跨越。随着5G建设进程的布局和推进,中国5G频段从开始4.9、3.5、2.6GHz逐步扩展到2.1GHz、700MHz及最新的900MHz。基站结构从开始的大规模密集型多输入多输出天线阵列(massive
6月15日 上午 10:58
其他

悄然崛起的英伟达新对手

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~英伟达都有哪些对手?首选当然是AMD和英特尔,前者本身就有AI加速卡的业务,集CPU和GPU设计能力与一身,而后者呢,作为x86架构的奠基人,如今也涉足AI加速卡领域,可以见到它们所推出的产品不仅在参数上对标英伟达,还在定位与售价等方面发动了一轮又一轮的攻势。而英伟达的客户与博通和Marvell组成统一战线后,也成了它的对手,不断推陈出新的自研定制芯片,开始取代传统的通用AI加速卡,让英伟达感受到了另一种压力。而在网络领域,英伟达也迎来了自己的对手。英伟达独占AI网络进入21世纪以来,随着云计算、大数据的日益普及,数据中心得到了快速发展。而InfiniBand在其中发挥了很大的作用,尤其是从2023年开始,以ChatGPT为代表的大型AI模型依赖于InfiniBand,让这一网络技术的关注度进一步提升。众所周知,现代数字计算机自诞生以来就一直采用冯·诺依曼体系结构,该体系结构中有CPU(算术逻辑单元和控制单元)、内存(RAM、硬盘)和I/O(输入/输出)设备。20世纪90年代初,为了支持越来越多的外部设备,Intel率先在标准PC架构中引入了外围组件互连(PCI)总线设计。随后,互联网进入快速发展阶段,在线业务和用户规模的不断增长对IT系统容量提出了巨大挑战。在摩尔定律的支持下,CPU、内存、硬盘等部件都在飞速进步,而PCI总线的更新换代速度却比较慢,大大限制了I/O性能,成为了整个系统的瓶颈。为了解决这个问题,Intel、微软和SUN牵头制定了“下一代I/O(NGIO)”技术标准,而IBM、康柏和惠普则牵头制定了“未来I/O(FIO)”,并于1998年联合制定了PCI-X标准。1999
6月14日 上午 8:50
其他

芯片,将发生巨变?

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~来源:内容由半导体行业观察(ID:icbank)编译自semiengineering,谢谢。芯片行业正在朝着特定领域的计算发展,而人工智能(AI)则朝着相反的方向发展,这种差距可能会迫使未来芯片和系统架构发生重大变化。这种分裂的背后是设计硬件和软件所需的时间。自
6月14日 上午 8:50
其他

4D成像雷达,大战打响

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~因为智能驾驶的火热,4D成像雷达正在成为风口浪尖。一方面,曾在2019年豪言使用纯视觉来实现FSD的特斯拉在去年年底宣布,将在第4代自驾车平台重新纳入一颗4D毫米波雷达;另一方面,作为激光雷达的潜在竞争对手,4D成像雷达的性能正在提升,在提供更高性价比的同时,进一步挤压了激光雷达的空间。虽然围绕着这个技术的争论不断,但各大主机厂、Tier
6月12日 上午 9:06
其他

英伟达,到顶了?

👆如果您希望可以时常见面,欢迎标星🌟收藏哦~在文章正式开始之前,我们先说明一下,本文主要综合了一些分析师的观点,并不代表我们认可这种观点。事实上,有国内AI芯片从业者告诉笔者,在Rubin及后续的芯片计划公布之后(详情参考之前的文章《英伟达最新GPU和互联路线图》),英伟达在AI芯片(尤其是在训练端)的统治力,已经找不到对手了。但考虑到英伟达过去几年的惊人增长,以及他们这正在销售大量用于加速
6月11日 上午 8:47